手机版
您的当前位置: 花田文秘网 > 教案设计 > 基于FPGA的数字调制器设计与实现

基于FPGA的数字调制器设计与实现

来源:教案设计 时间:2022-11-08 13:40:08 点击: 推荐访问: FPGA 数字 数字中的党建基础应知应会知识

摘要:应用两种方法实现数字调制器。一种用DSP Builder构建模型然后转换为VHDL语言,另一种直接用VHDL语言编程实现。通过比较两种方法,得出结论:DSP Builder方法比较简单,不需要复杂的编程,但占用的资源比较多;VHDL方法编程比较难,但实现简单功能时占用资源少。

关键词:数字调制器;DDS;DSP Builder;VHDL;FPGA

中图分类号:TP319 文献标识码:A 文章编号:16727800(2013)007010402

0 引言

在数字基带传输系统中,为了使数字基带信号能够在信道中传输,要求信道应具有低通形式的传输特性。但在实际信道中,大多数信道并不能传输基带信号,为了使基带信号匹配信道,必须用数字基带信号对载波进行调制,产生各种已调数字信号。基本的三种数字调制方式是:振幅键控(ASK)、移频键控(FSK)和移相键控(PSK)。

本文采用两种方法来实现数字调制器,一种是用Altera公司推出的FPGA开发工具DSP Builder,设计了基于DDS(直接数字频率合成)技术的多种数字调制器,然后使用Signal Compiler把模型设计文件(.mdl)转换成相应的硬件描述语言VHDL的设计文件(.vhd),以及用于控制综合和编译的TCL脚本[1];另一种是直接用VHDL语言编程来实现。接着就可以用Quartus II来完成综合、仿真、适配、下载到器件。

1 DSP Builder方法实现数字调制器

1.1 DDS原理

直接数字频率合成技术(Direct Digital Synthesis, DDS) 是一种从相位出发直接合成所需要的波形的全数字频率合成技术,该技术特点是:频率分辨率高、频率变化速度快、相位可连续性变化等[2],被广泛应用在数字通信系统中,是信号生成的最佳选择。一个基本的DDS结构如图1所示,主要由相位累加器、相位调制器、正弦ROM查找表、基准时钟源和D/A转换器构成,前三部分是DDS结构中的数字部分,具有数控频率合成的功能。相位累加器是DDS系统的核心,它由一个累加器和一个相位寄存器组成[3],用来完成相位的累加运算,然后输出给相位调制器,实现对相位的调制,其输出结果作为正弦ROM查找表的地址,正弦ROM查找表完成查表转换,也可以理解成相位到幅度的转换,输出送往D/A转化成模拟信号。

3 结语

采用APEX20KE系列器件对以上两种方法实现2FSK调制器进行编译仿真,DSP Builder建模的方法占用的LE单元296个,约为4%,引脚为 97, VHDL编程方法占用的LE单元为8个,不到1%,引脚为 4。通过比较得出结论:DSP Builder方法比较简单,不需要复杂的编程,但占用的资源比较多,VHDL方法编程比较难,但实现简单功能时占用资源少。

参考文献:

[1] 杨西西,徐建城,任自钊.基于DSP Builder的数字调制器[J].科学技术与工程,2011(2).

[2] 雷国伟,林兴元,舒强,等.基于DSP Builder的通用调制信号发生器设计[J].电视技术,2009(2).

[3] 李康顺,吕小巧,张文,等.基于改进DDS技术的FPGA数字调制器研究[J].压电与声光,2009(6).

[4] 赖昭胜,管立新.基于DSP Builder的DDS实现及其应用[J].微计算机信息,2006(2).

(责任编辑:杜能钢)

花田文秘网 https://www.huatianclub.com

Copyright © 2002-2018 . 花田文秘网 版权所有

Top